8 (495) 987 43 74 доб. 3304 Прием заявок на рассмотрение статей E-mail: evlasova@synergy.ru

Мы в соцсетях -              
Рус   |   Eng

Купить статью

Использование паттерна «абстрактная фабрика» в реализации модуля валидации и преобразования данных интерпретатора BlockSet

Опубликовано в № 1(67) 27 февраля 2017 года
Рубрика: Технологии разработки программного обеспечения
Авторы: Кейно П. П., Ярмухаметов Ф. Ф.
web, интерпретатор, декларативное программирование, абстрактная фабрика, паттерны проектирования, C, C++, декларативное программирование, DSL, предметно-специфичные языки, GPL, BML, BlockSet.

Автор статьи:

Кейно П. П.

Ученая степень:

канд. техн. наук, доцент кафедры 316 Московского авиационного института (национального исследовательского университета)

Местоположение:

г. Москва, Россия

Автор статьи:

Ярмухаметов Ф. Ф.

Ученая степень:

магистрант кафедры «Системное моделирование и инженерная графика» ФГБОУ ВО «Московский авиационный институт (национальный исследовательский университет)»

Местоположение:

Москва